Vertical Natural Capacitor Time Dependent Dielectric Breakdown (TDDB) Improvement in 28nm

更新时间:2023-06-18 23:33:25 阅读: 评论:0

Vertical Natural Capacitor Ti m Mary Claire Silvestre, Zhang
Christopher Ord o GLOBALFO U Contact: 1-518-305
Abstract: Ultra Low-K films are u d technologies as an interlayer die l processing . Due to its high porosity, i t p rocess challenges. This paper d challenge it pod for reliability of v e capacitors (VNCAP). When a new C was evaluated for its improved pe r defects and uniformity, degradatio n dependent dielectric breakdown (TD D VNCAP was obrved. Studies have b e to characterize the int
eraction of the to the CMP process. In the c o investigation, it was obrved that t clean chemistry impacts the TDD B characterizing the ULK surface p o establishing inline correlations to TD
D new process was identified quickly t o TDDB lifetime by 2 orders.
Key Words: VNCAP, TDDB , Vramp,学生请假理由
Cure
I. Introduction
U of ultra-low-k (ULK) dielectric inter-layer dielectric (ILD) mater i damascene Copper (Cu) processi n technology nodes and below has narrowed both the process window robustness of this integration scheme .p orous nature, changes in chemistries p rocess not related to films deposi t alter its surface condition, that in tu r degraded film properties.[2] One su c obrved in the cour of evaluati n chemical and mechanical polishing (Slurry B). This slurry was chon dishing and erosion performance a s improved defect density (Fig1).  cleaning chemical ud with this s inefficient removal of Cu ions as evi higher metallic ions remaining on th e This resulted in degraded time depe n breakdown (TDDB) for the VNCAP (T e Dependent Dielectric Breakdown (TDDB) Improve m矫枉过正是什么意思
g  Galor Wenyi, KM Mahalingam Anbu Selvam, Eswar R a nio, John Schaller, Lee Jong Hyup, Cristiano Capasso,
Patrick Justison
NDRIES, 400 Stonebreak Rd Ext, Malta, NY, 12020 -6199: Email: maryclaire. m
in advanced ectric in Cu  pos a lot of iscuss one rtical natural u-CMP slurry formance for  of the time B) lifetime for en performed deposited film ur of this he pos t -CMP  lifetime. By s t -CMP, and
过年文案DD B lifetime, a  improve the , Cu CMP, UV (k=2.5-2.6) as al for dual g in 28nm considerably and reliability [1] Due to its  ud in other ion can easily n can lead to ch effect was g a new Cu (CMP) slurry for its better  well as for However, the lurry sho w ed denced by the  wafe r  (Fig2). dent dielectric able1).
Fig1. The improved defectivity and uni f Slurry A makes it a preferable choice f o
Fig2. Slurry B baline cleaning che m show high relative intensity peaks com p
Slurry A >0.6 >11 >10years Slurry B <0.6 >11 <<10 years Table1. U of SlurryB showed degradation in the VNCAP TDDB parameters and lifetime.
TDDB is a breakdown mechanism in the dielectric that occurs during application of low voltage that results in a leakage path formation between two or more metal lines that are suppod to be insulated. Typical lifetime target is greater than 10 years.  In Table 1, beta refers to the shape factor or slope of the time to fail plots. Gamma on the other hand refers to the acceleration factor between different stress conditions.  Higher beta and gamma values are desired for longer TDDB lifetimes.
The VNCAP is a preferred passive device for 45nm technology nodes and smaller due to its equivalent capacitance density compared to conventional metal-insulator-metal (MIM) capacitors without the cost of additional masking layers.[3] It is compod of stacked metal layers connected by staggered vias (Fig.3).
Fig3.  The VNCAP structure is compod of stacked metal layers connected by staggered via both drawn at the minimum design rule with wider pitch.
The VNCAP structure evaluated in this study has metal width and via sizes drawn at minimum design rules. The metal-to-metal spacing however, was drawn at more relaxed dimensions.  In this p
aper, the development of a quick inline test that correlates to TDDB lifetime for VNCAP will be described.  With a quick inline test established,process optimization for improved TDDB performance was arrived at in a timely manner.
II.Experimental
Dual-damascene Cu processing with TiN hard mask/trench first integration scheme was ud to fabricate the VNCAP structures on 300mm wafers with porous (ULK) material (k=  2.5-26) as the dielectric film. UV cure processing post-ULK deposition is done to densify the ULK film followed by trench/via patterning with a tri-layer scheme of photoresist layer, Si-riched anti-reflective coating and an optical planarizing coating material. Etched patterns were then lined with PVD TaN/Ta and Cu-Mn ed for the barrier layer, Cu electroplating for the bulk Cu fill that were polished via CMP and then finally capped with a plasma-enhanced low-k dielectric barrier cap.  Surface analysis on patterned wafers over 60x60um Cu pads was conducted using TOF SIMS with 30 keV Bi3+
as the primary analysis ion.  TDDB lifetime was calculated by applying a constant voltage at 125°C.  A 2x increas in measured current compared to previous current measurement is t as the failure criterion ( I/I ≥2)  to detect dielectric breakdown. Weibull statistics, sqrt-E-model voltage acceleration, Arrhenius temperature relationship and Poisson area scaling model were ud to analyze the failure distribution. By using maximum likelihood estimation (MLE), Weibull parameters were derived by means of a constraint fit that assumes a fixed shape factor for all measured distributions with the whole sample and a fixed ratio of the t63 bad on the voltage acceleration model. A voltage ramp test with 1V/s ramp rate where breakdown voltage (V bd) is defined as the voltage where
I/I ≥2 was ud as quick inline monitor.
III.Results and Discussion
A.CMP Cleaning Optimization
Due to the obrved higher Cu+ ion intensities obrved in wafers procesd with baline cleaning chemistry after Cu CMP and given the porous nature
of the ULK, diffusion of the ions through the dielectric can occur under steady state by Fick’s law (Fig.4). [4]
Fig4. Diffusion of Cu+ ions through dielectric can occur by Fick’s law.
With the application of constant voltage, the drift of Cu+ ions in the dielectric can be further accelerated, leading to early dielectric breakdown.  An alternative low alkaline content cleaning chemistry after Cu CMP was then evaluated.  This chemistry can
efficiently remove remaining metallic ions as shown in Fig.5.
Fig5. Reduced Cu+ ion intensity peak was obrved with a new cleaning chemistry.
Wafers cleaned with this chemistry exhibited higher V bd for single metal- layer comb structure (Fig.6).
Fig6. Breakdown voltage Weibull plot comparison between Baline and Low Cu+ Ion Cleaning Chemistry.
This confirms that the amount of Cu+ ion left on the surface is directly related to the breakdown voltage of the dielectric material. However, even with this improved breakdown voltage obrved for single metal layer, the VNCAP TDDB lifetime still doesn’t pass the lifetime criteria (Fig.7). Fig7.  The incread breakdown voltage on single layer comb structure obrved with low Cu+ion cleaning chemistry does not translate to passing TDDB lifetime for VNCAP.
B.Inline Vramp Test Correlation
Unlike conventional single layer TDDB structures, VNCAP TDDB failures are very large and catastrophic making it challenging to isolate sources of weakness in the structure (Fig.8).
Fig8. SEM image of a failed VNCAP structure after constant voltage stress at 125C.(a) Top image is the top down SEM (b) Cross ction across the failed site.
Another difficulty was the slow turn-around time in getting results from various splits to improve TDDB for the VNCAP due to the long test time for TDDB.  The large lot-to-lot variation obrved in the VNCAP V bd overwrites the effect of the splits being evaluated for VNCAP TDDB improvement (Fig.9). Thus, it becomes imperative that another inline test to evaluate process splits be developed.
63
Cu
+
63
Cu
+
65
Cu
+
65
Cu
+
Fig9.  Inline Vramp test on VNCAP structure shows huge lot to lot variation which shows that this test is not capable of differentiating improvements in the split.
Instead of doing the voltage ramp (Vramp) test on the VNCAP structure, Vramp on individual metal (Mx) layer comb structures drawn at minimum design rule spacing was tested to evaluate the splits.  Results show that the last Mx layer has degraded V bd for the splits ran using Slurry B.  Slurry A has comparable V bd across different metal layers. This result indicates that the VNCAP TDDB failure is highly correlated to the V bd of the last Mx layer (Fig.10).
Fig10.  Vramp on last metal layer showed degraded VBD for splits ran using SlurryB. Slurry A shows comparable VBD across all Mx layers.
C.Process Optimization for Improved VNCAP TDDB
Understanding the V bd variation coming from the last Mx layer was key in optimizing the process integration for the new slurry to achieve improved TDDB performance for the VNCAP.  One of the no
ted differences on the last Mx layer processing is that unlike other Mx layers, the last Mx layer only receives the post-UV Cure once in the process flow.  Other Mx layers received it at least twice during in the process flow.  By applying an accelerated thermal treatment (Fig.11) after the oxide deposition at the far backend of the line, it was obrved that last Mx layer V bd has improved significantly for Slurry B (Fig.12).  Fig11.  An accelerated thermal treatment inrted after the oxide deposition for the first layer at the far BEOL improved the V bd for the last Mx layer.
Fig12.  The inrtion of a high temperature treatment showed 4x improvement for the VBD of the last Mx layer.
With this additional treatment,  the  median time-to- fail (MTTF) has incread by 2 orders and the shape factor of the time to fail (TTF) distribution also has improved by 100%,  enabling the VNCAP TDDB lifetime to pass (Fig.13).
Fig13.  With the accelerated thermal treatment inrted at the far BEOL of the line, the VNCAP TDDB to pass.
Leakage mechanisms in dielectric have been modelled by the Poole-Frenkel emission [5] where the conduction of Cu+ ions can be accelerated through traps in the dielectric by an external electric field.
  When a higher concentration of Cu+ ions is解毒降脂片
prent at the Cu-cap layer interface, the voltage
装空调外机大忌不安好心
breakdown is predicted to happen earlier.  An additional thermal treatment after oxide deposition can remove the porogens in as-deposited ULK films leading to a reordering of the structural bonds in the dielectric. [6] This reordering can alter the trap distribution in the ULK film. With lower traps in the ULK film, Cu + ion conduction is less likely to occur.
IV.Conclusion
In this paper, the improvement of the VNCAP TDDB for a new Cu-CMP slurry was discusd. The improvement was achieved in three steps.  First, characterization of post-CMP ULK Cu surface showed that the new slurry leaves behind a higher Cu+ ion concentration.  This higher Cu+ ion concentration is believed to lead to earlier breakdown in the VNCAP. By optimizing and evaluating a new cleaning chemistry, the Cu+ ion post Cu-CMP was reduced.  Second, an inline VRAMP correlation was established to show that the early MTTF of the VNCAP is related to wider distribution of the VBD of the last Mx layer. With this inline correlation established, split evaluation to detect improvements the VNCAP TTDB was quickly obtained.  Lastly, it was shown that the inrtion of an accelerated thermal treatment post-oxide deposition for the far BEOL of the line improved both the VBD for the last Mx layer by 4x as well as the TTF for the VNCAP by about 2 orders of magnitude.
References:
[1] W.C.Lin, et al., “A Comprehensive Process Engineering on TDDB for Direct Polishing Ultra-Low K Dielectric Cu Interconnects at 40nm Technology Node and Beyond”,  Reliability Physics Symposium (IRPS), 2011 IEEE International, pp.2F.7.1-2F.7.5
[2] W.C.Lin, et al., “Effects of Cu surface roughness on TDDB for direct polishing ultra-low k dielectric Cu interconnects at 40nm technology node and beyond”, Microelecronic Engineering Vol.92, 27th Annual Advanced Metallization Conference 2010, pp.115-118.
阿房宫遗址在哪里[3] I.M. Kang, et al., “ RF Model of BEOL Vertical Natural Capacitor (VNCAP) Fabricated by 45-nm RF CMOS Technology and Its Verification”,  IEEE Electron Device Letters Vol.30, May 2009, pp.538-540. [4] He, M., Lu, T.-M., (2012). Metal-Dielectric Interfaces in Gigascale Electronics Thermal and Electrical Stability. Springer.
[5] T.K.S Wong, “Time Dependent Dielectric Breakdown in Copper Low-k Interconnects: Mechanism and Reliability Models”, Materials 2012, pp. 1602-1625.
面包用英语怎么说[6] A. Zenasni, et al., “The Role of Ultraviolet Radiation during Ultralow k films Curing: Strengthening Mechanisms and Sacrificial Porogen Removal”, Journal of Applied Physics Vol. 102 Issue 9, Nov 2007, pp. 094107-094107-8.

本文发布于:2023-06-18 23:33:25,感谢您对本站的认可!

本文链接:https://www.wtabcd.cn/fanwen/fan/82/986763.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:请假   空调   降脂   理由   大忌   解毒   学生
相关文章
留言与评论(共有 0 条评论)
   
验证码:
推荐文章
排行榜
Copyright ©2019-2022 Comsenz Inc.Powered by © 专利检索| 网站地图