library ieee;
u ieee.std_logic_1164.all;
u ieee.std_logic_arith.all;
u ieee.std_logic_unsigned.all;
entity pul_delay is香岩寺
port(
clk1khz : in std_logic;抒情的句子
pul_in : in std_logic;
pul_out : out std_logic
霸王鲨);
青年在选择职业时的考虑end pul_delay;
architecture a of pul_delay is
signal c16h,c16l : std_logic_vector(3 downto 0); begin
有关三国的成语process(clk1khz,pul_in,c16h,c16l)
begin
if pul_in = '0' then
c16h <= "0000";
el
if rising_edge(clk1khz) then脸颊长痣
if c16h < "1111" then
c16h <= c16h+1;
end if;
end if;
end if;
if pul_in = '1' then
c16l <= "0000";
el
if rising_edge(clk1khz) then
if c16l < "1111" then
油炸春卷c16l <= c16l+1;
end if;
end if;
end if;
if rising_edge(clk1khz) then
if c16h = "1111" then
pul_out <= '1';
elsif c16l = "1111" then
pul_out <= '0';
el
null;
吊眼角
end if; end if;
end process;
end a;