Verilog实现38译码器

更新时间:2023-05-21 12:32:58 阅读: 评论:0

Verilog实现38译码器Verilog实现38译码器
// An highlighted block
var foo ='bar';
module decode_38(date_in, date_out);
input [2:0] date_in;//date_in[2],date_in[1],date_in[0]左耳鸣
芽庄在哪里output reg [7:0] date_out;
//⾏为建模:组合逻辑电路
always @ (*)    begin
相见欢赏析
找春天ca(date_in)//ca语句:括号为输⼊的条件
3'd0    : date_out = 8'b0000_0001;
3'd1    : date_out = 8'b0000_0010;
3'd2    : date_out = 8'b0000_0100;
3'd3    : date_out = 8'b0000_1000;
3'd4    : date_out = 8'b0001_0000;
3'd5    : date_out = 8'b0010_0000;
师风师德3'd6    : date_out = 8'b0100_0000;
3'd7    : date_out = 8'b1000_0000;
endca
end
endmodule
// An highlighted block
var foo ='bar';
形容孙悟空的成语
`timescale 1ns/1ps
module decode_38_tb;
reg [2:0] date_in;//date_in[2],date_in[1],date_in[0]
wire[7:0] date_out;
decode_38 decode_38_inst(
.date_in(date_in),
.date_out(date_out)
高一凡
);
//port
initial begin
date_in =3'b000;
#200  date_in =3'b001;
#200  date_in =3'b010;
#200  date_in =3'b011;
#200  date_in =3'b100;
#200  date_in =3'b101;
#200  date_in =3'b110;
#200  date_in =3'b111;佛印绝类弥勒
end
endmodule

本文发布于:2023-05-21 12:32:58,感谢您对本站的认可!

本文链接:https://www.wtabcd.cn/fanwen/fan/82/719531.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:逻辑电路   赏析   语句   成语   组合   相见   括号   形容
相关文章
留言与评论(共有 0 条评论)
   
验证码:
推荐文章
排行榜
Copyright ©2019-2022 Comsenz Inc.Powered by © 专利检索| 网站地图