DC综合教程

更新时间:2023-06-23 15:13:48 阅读: 评论:0

启动dc的三种方法:
DCSH:    dc_shell
TCL:    dc_shell-t        //注意:-t前没有空格
图形化界面:    design_vision
tip1. 综合主要包括三个阶段:转换(translation)、优化 (optimization)与映射(mapping)。
1. 转换阶段:综合工具将高层语言描述的电路用门级的逻辑来实现,对于 Synopsys 的综合工具 DC 来说,就是使用 gtech.db库中的门级单元来组成 HDL 语言描述的电路,从而构成初始的未优化的电路。
2. 优化与映射:是综合工具对已有的初始电路进行分析,去掉电路中的冗余单元,并对不满足限制条件的路径进行优化, 然后将优化之后的电路映射到由制造商提供的工艺库上。
tip2
DesignWare 是集成在 DC综合环境中的可重用电路的集合
DesignWare 分为 DesignWare Basic 与 DesignWare Foundation,DesignWare Basic 提供基本的电路,DesignWare Foundation提供性能较高的电路结构。如果需要 Foundation的 DesignWare,需要在综合的时候设置synthetic_library3。
tip3 日志文件
    Design Analyzer 在启动时自动在启动目录下面创建两个日志文件: command.log 和 vi
ew_command.log,用于记录用户在使用 Design Compiler 时所执行的命令以及设置的参数,
在运行过程中同时还产生filenames.log的文件,用于记录 design compiler访问过的目录,包括库、源文件等,filenames.log文件在退出 design compiler 时会被自动删除。启动 dc_shell时则只产生command.log 的日志文件。
tip4
Propagation Delay传播延时
Transition Time转变 延时
Setup Time建立时间
The tup time for a quential cell is theminimumlength of time the data-inputsignal must remain stable before the active edge of the clock王昭君秘史
梦见被狗咬伤
Hold Time保持时间
The hold time for a quential cell is the minimum length of time the data-inputsignal must remain stable after the active edge of the clock
脚本:
>>>>>>##
#    Read design file          #
//
read –format  verilog[db、vhdl] file        //dcsh的工作模式
read_db file.db                              //TCL工作模式读取 DB格式
read_verilog file.v                            //TCL工作模式读取verilog格式
read_vhdl file.vhd                            //TCL工作模式读取VHDL格式
//设定时钟
create_clock -name "clock" -period 20 -waveform { 0.000 10.000  }  { clk  }
//输出文件
write  -f  verilog  -out  output/count.v //输出网表
write  -f  ddc  -out  output/count.ddc//综合数据文件
write_sdf  mapped/count.sdf  //标准延时文件
//综合
compile  -map_effort  medium  -incremental_mapping
tip6设置设计环境Define the Design Environment
1. Defining the Operating Conditions设置操作环境
    查看有哪些操作环境
dc_shell> read_file my_lib.db
dc_shell> report_lib my_lib
指定操作环境
dc_shell> t_operating_conditions  WCCOM -lib my_lib
2.设置线负载模型
    t_wire_load_mode  Top/Enclod/Segmented
    t_wire_load_model  "10x10"
3.  Modeling the System Interface设置系统接口
    The t_drive and t_input_transition Commands
   
dc_shell> current_design top_level_design
dc_shell> t_drive 1.5 {I1 I2}
dc_shell> current_design sub_design2
dc_shell> t_driving_cell  -lib_cell IV {I3}
dc_shell> t_driving_cell  -lib_cell  AN2  -pin Z  -from_pin B  {I4}
讲故事英语Defining Loads on Input and Output Ports
Defining Fanout Loads on Output Ports
4. Setting Logic Constraints on Ports
    t_equal    port1 port2
t_opposite
t_logic_dc
t_logic_one
t_logic_zero
t_unconnected

tip7设定 设计约束
    When Design Compiler optimizes your design, it us two types of constraints:邓旋
Design rule constraints
Optimization constraints
1. Maximum Transition Time
dc_shell> t_max_transition 5 [current design]
2. Maximum Fanout
        You can t a maximum fanout constraint on every driving pin and input port as follows:
dc_shell> t_max_fanout 8 [get_designs ADDER]
计算Fanout值:
Maximum Fanout>=Total Fanout Load
注意:取出某些约束用:
dc_shell> remove_attribute [get_designs adder] max_transition
dc_shell> remove_attribute [get_ports port_name] max_fanout
世界上最小的坦克dc_shell> remove_attribute [get_designs design_name]max_fanout
dc_shell> remove_attribute port_name fanout_load
3. The t_fanout_load command ts the expected fanout load value for listed output ports.
To find the fanout load on the input pin of library cell AND2 in library libA, enter
dc_shell> get_attribute "libA/AND2/i" fanout_load
展望未来的成语
To find the default fanout load t on technology library libA, enter
dc_shell> get_attribute libA default_fanout_load
郑真
4. Maximum Capacitance
Maximum capacitance is a design rule constraint. It is t as a pin-level attribute that defines
the maximum total capacitive load that an output pin can drive. That is, the pin cannot connect to a net that has a total capacitance greater than or equal to the maximum capacitance defined at the pin.
        dc_shell> t_max_capacitance 3 [get_designs adder]
5. Minimum Capacitance康乃馨代表什么
        The min_capacitance design rule specifies the minimum load a cell can drive.
设计规则的优先权
1. Minimum capacitance
2. Maximum transition
3. Maximum fanout
4. Maximum capacitance
5. Cell degradation
tip8设定优化约束Optimization Constraints
Timing Constraints
        对于同步pashs

本文发布于:2023-06-23 15:13:48,感谢您对本站的认可!

本文链接:https://www.wtabcd.cn/fanwen/fan/82/1021956.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:电路   综合   设置   文件   启动   优化
相关文章
留言与评论(共有 0 条评论)
   
验证码:
推荐文章
排行榜
Copyright ©2019-2022 Comsenz Inc.Powered by © 专利检索| 网站地图