EDA技术课程设计
课题: 电子时钟
七夕节快乐英文
系 别: 电气与电子工程系
专 业: 电子信息工程afterward
姓 名:
学 号:
指导教师:
河南城建学院
2012年6月 日
成绩评定·
一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。
二、课程设计评分opinion
成绩:
2012年 6月 日
2、设计内容 1
四、EDA设计与仿真 2
circle of friends1、秒计时器模块 2
incread2、分计数器模块 4
3、时计数器模块 6
4、分频器模块 8
神探夏洛克第3季5、扫描电路模块 9
一、设计目的
这次课程设计主要是培养我们的实际动手能力及对EDA这门课程的深入的理解,增强我们对EDA程序设计流程的掌握。这个课题还要求我们掌握计数器的设计,六十进制计数器和二十四进制计数器的设计方法,以及各个进制之间的连接关系。
二、设计要求
1、具有时、分、秒,计数显示功能,以二十四时制循环计;
2、设置启动、暂停开关,以满足启动计时和停止计时的功能;
3、要求计时精度为0.01秒,最长时间为24H。
4、具有时间设置(清零、调节小时和分功能)和闹钟功能;(扩展功能选作)
5、整点报时,整点报时的同时,LED灯花样显示或者给段动听音乐;(扩展功能选作)
三、总体设计方案要求
1.设计的总体原理
要实现一个数字时钟系统,整个系统由主要模块电路模块和外部输入输出以及显示模块组成。首先分别实现单个模块的功能,然后再通过级联组合的方式实现对整个系统的设计。原理框图如下:
图3-1.总体设计框图
2.设计内容
电子时钟主要模块有四个,它包括脉冲信号产生模块(即分频电路)、计数模块(计数模块又分为秒计数模块、分计数模块和时计数模块)、码显示模块、复位模块。各个模块先用EDA技术中的VHDL语言编程仿真,再生成各个小模块的模拟元件,再元件例化,根据设计连接电路实现数字电子钟系统。
四、EDA设计及仿真(各个模块设计程序、原理框图及仿真波形图)1.秒计时器(cond)
library ieee;
u ieee.std_logic_1164.all;
u ieee.std_logic_unsigned.all;
entity cond is
port(clk,ret:in std_logic;
c1,c2:out std_logic_vector(3 downto 0); ---------秒计数器的两个输出;
cin:out std_logic);
end cond;
architecture 12999cond1 of cond is
signal c1_t,c2_t:std_logic_vector(3 downto 0);英语6级多少分过 ---------秒计数器的中间信号;
begin
process(clk,ret)
begin
if ret='1'then
c1_t<="0000"; ----------复位信号为1时秒信号复位;
magneticfield c2_t<="0000";
elsif clk'event and clk='1'then
if c1_t="1001"then
c1_t<="0000"; ------秒计数器的个位为9时变为0;
if c2_t="0101"then
c2_t<="0000"; ------秒计数器的十位为5时变为0;
el
c2_t<=c2_t+1; -----秒计数器的十位不为5时加1;
end if;
el
c1_t<=c1_t+1; -----秒计数器的个位不为9时加1;
end if;
if c1_t="1001" and c2_t="0101"then ----------当计数器数值为59时向分为进1;
cin<='1'; ---------向分进1,作为分的时钟信号;
el
crenavatioin<='0';
end if;
end if;
end process;
c1<=c1_t;
c2<=c2_t;
end cond1;
图4-1 秒计数器框图
图4-2 秒计数器时序仿真图